找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 3376|回復(fù): 2
打印 上一主題 下一主題
收起左側(cè)

VHDL例化元件報錯和類型不匹配的問題

[復(fù)制鏈接]
跳轉(zhuǎn)到指定樓層
樓主
在做一個老師課后布置的小設(shè)計,出了兩個錯誤,2天了,我嘗試了各種辦法依舊沒有解決。
元件例化的port ma出錯

顯示“未定義的實(shí)體”,但是在同一個VHDL文件上面,明明有定義了。


還有這個類型匹配的問題7+2(1 downto 0)=9


這里要求一個賦值給矢量(2 downto 0)
我只能補(bǔ)個blank,壓根就算不上解決....


不知道各位大佬有何見解
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享淘帖 頂 踩
回復(fù)

使用道具 舉報

沙發(fā)
ID:258507 發(fā)表于 2019-2-28 18:42 | 只看該作者
文字版
出錯源代碼   pcreg:flopr generic map(32) port map(clk,reset,pcnext,pc);

Error (12006): Node instance "pcreg" instantiates undefined entity "flopr". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.

下面是flopr的定義...就在出錯代碼的上方。
component flopr generic(width:integer);
    port(clk,reset: in  STD_LOGIC;
              d:         in  STD_LOGIC_VECTOR(width-1 downto 0);
                        q:         out STD_LOGIC_VECTOR(width-1 downto 0));
  end component;

回復(fù)

使用道具 舉報

板凳
ID:258507 發(fā)表于 2019-2-28 18:48 | 只看該作者

只有這樣1位1位湊夠9位,才能執(zhí)行最后的()<=controls(8 downto 0) 賦值
但是這里的aluop必須為2位,為之奈何?
回復(fù)

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則

手機(jī)版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術(shù)交流QQ群281945664

Powered by 單片機(jī)教程網(wǎng)

快速回復(fù) 返回頂部 返回列表