找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 2073|回復(fù): 0
收起左側(cè)

EDA中此程序只能一位數(shù)碼管顯示幫忙看看怎樣實現(xiàn)兩位數(shù)碼管顯示

[復(fù)制鏈接]
ID:723220 發(fā)表于 2021-5-9 16:44 | 顯示全部樓層 |閱讀模式
   LIBRARY IEEE;
   USE IEEE.STD_LOGIC_1164.ALL;
   use ieee.std_logic_arith.all;
   use ieee.std_logic_unsigned.all;

ENTITY Vhdl1 IS
PORT (CP,R,EN:IN STD_LOGIC;
CO:OUT BIT;
Y:OUT INTEGER RANGE 0 TO 10;
LED : out std_logic_vector(6 downto 0));
END Vhdl1;

architecture RT of Vhdl1 is
signal Q:INTEGER RANGE 0 TO 10;
BEGIN
PROCESS(CP,R,EN)
   BEGIN
        IF R='1' THEN Q<=0;
        ELSIF(EN = '1')THEN
        IF(CP'EVENT AND CP='1')THEN
        IF Q=9 THEN
                CO<='1';
                Q<=0;
                ELSE
                Q<=Q+1;
                CO<='0';
        END IF;
        END if;
    END IF;
     Y<=Q;
END PROCESS;
with Q select
  LED<="1000000" when 0,
           "1111001" when 1,
           "0100100" when 2,
            "0110000" when 3,
           "0011001" when 4,
     "0010010" when 5,
         "0000010" when 6,
         "1111000" when 7,
         "0000000" when 8,
     "0010000" when 9,
     "1111111" when others;

END RT;

回復(fù)

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則

手機(jī)版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術(shù)交流QQ群281945664

Powered by 單片機(jī)教程網(wǎng)

快速回復(fù) 返回頂部 返回列表